International Journal of Science and Research (IJSR)

International Journal of Science and Research (IJSR)
Call for Papers | Fully Refereed | Open Access | Double Blind Peer Reviewed

ISSN: 2319-7064

Downloads: 110 | Views: 198

Research Paper | Electronics & Communication Engineering | India | Volume 3 Issue 10, October 2014 | Rating: 6.2 / 10


Interconnect Delay and Power Optimization Using Schmitt Trigger as Alternate Approach to Buffer Insertion

R.S.G.Bhavani | M.Manikumari


Abstract: In this thesis, we address the interconnect problem in the deep sub-micron (DSM) regime. In VLSI interconnects to restore the input signal affected by the parasitic buffers are placed in between interconnects. But buffers has a certain switching time that contribute the overall signal delay and crosstalk delay. The designs of sized logic and repeater insertion for improved delay, power and placement are implemented by using both Schmitt trigger and buffer insertion. In this work replacement of sized logic with buffers with Schmitt trigger based on sizing is proposed for the signal restoration and to reduce delay. Because of adjustable threshold voltage Vth of Schmitt trigger the delay and power can be reduced in interconnects when compared to buffers. HSPICE simulations are carried out for the different PTM based on sized logic shows that Schmitt trigger with sized logic gives 12.45 % less delay when compared to buffer sized logic and also average power reduced to 5.09 % in case of Schmitt trigger when compared to sized logic of buffer.


Keywords: Delay, DSM, Interconnects, power, Repeater, Schmitt trigger, sized logic


Edition: Volume 3 Issue 10, October 2014,


Pages: 484 - 488

Rate this Article


Select Rating (Lowest: 1, Highest: 10)

5

Your Comments

Characters: 0


Type Your Registered Email Address below to Rate the Article


Verification Code will appear in 2 Seconds ... Wait

Top